Follow
Xiaofei Wang
Xiaofei Wang
Verified email at umn.edu
Title
Cited by
Cited by
Year
An all-in-one silicon odometer for separately monitoring HCI, BTI, and TDDB
J Keane, X Wang, D Persaud, CH Kim
IEEE Journal of Solid-State Circuits 45 (4), 817-829, 2010
2322010
A 23.6-Mb/mm SRAM in 10-nm FinFET Technology With Pulsed-pMOS TVC and Stepped-WL for Low-Voltage Applications
Z Guo, D Kim, S Nalam, J Wiedemer, X Wang, E Karl
IEEE Journal of Solid-State Circuits 54 (1), 210-216, 2018
632018
A 0.6 V, 1.5 GHz 84 Mb SRAM in 14 nm FinFET CMOS technology with capacitive charge-sharing write assist circuitry
E Karl, Z Guo, J Conary, J Miller, YG Ng, S Nalam, D Kim, J Keane, ...
IEEE Journal of Solid-State Circuits 51 (1), 222-229, 2015
532015
Silicon odometers: Compact in situ aging sensors for robust system design
X Wang, J Keane, TTH Kim, P Jain, Q Tang, CH Kim
IEEE micro 34 (6), 74-85, 2014
412014
On-chip reliability monitors for measuring circuit degradation
J Keane, T Kim, X Wang, CH Kim
Microelectronics Reliability 50 (8), 1039-1053, 2010
332010
Duty-cycle shift under asymmetric BTI aging: A simple characterization method and its application to SRAM timing
X Wang, J Keane, P Jain, V Reddy, CH Kim
2013 IEEE International Reliability Physics Symposium (IRPS), 4A. 5.1-4A. 5.5, 2013
172013
Impact of interconnect length on BTI and HCI induced frequency degradation
X Wang, P Jain, D Jiao, CH Kim
2012 IEEE International Reliability Physics Symposium (IRPS), 2F. 5.1-2F. 5.6, 2012
172012
The Dependence of BTI and HCI Induced Frequency Degradation on Interconnect Length and Its Circuit Level Implications
CHK X. Wang, Q. Tang, P. Jain, D. Jiao
IEEE Trans. on VLSI Systems, 2014
16*2014
A 32nm SRAM reliability macro for recovery free evaluation of NBTI and PBTI
P Jain, A Paul, X Wang, CH Kim
2012 International Electron Devices Meeting, 9.7. 1-9.7. 4, 2012
152012
RTN induced frequency shift measurements using a ring oscillator based circuit
Q Tang, X Wang, J Keane, CH Kim
2013 Symposium on VLSI Technology, T188-T189, 2013
142013
Estimation of instantaneous frequency fluctuation in a fast DVFS environment using an empirical BTI stress-relaxation model
C Zhou, X Wang, W Xu, Y Zhu, VJ Reddi, CH Kim
2014 IEEE International Reliability Physics Symposium, 2D. 2.1-2D. 2.6, 2014
132014
Fast characterization of PBTI and NBTI induced frequency shifts under a realistic recovery bias using a ring oscillator based circuit
X Wang, S Song, A Paul, CH Kim
2014 IEEE International Reliability Physics Symposium, 6B. 2.1-6B. 2.6, 2014
132014
A revolving reference odometer circuit for BTI-induced frequency fluctuation measurements under fast DVFS transients
S Satapathy, WH Choi, X Wang, CH Kim
2015 IEEE International Reliability Physics Symposium (IRPS), 6A.3.1 - 6A.3.5, 2015
122015
SRAM Read Performance Degradation under Asymmetric NBTI and PBTI Stress: Characterization Vehicle and Statistical Aging Data
CHK X. Wang, W. Xu
Custom Integrated Circuits Conference, 2014
122014
Measurement, analysis and improvement of supply noise in 3D ICs
P Jain, D Jiao, X Wang, CH Kim
2011 Symposium on VLSI Circuits-Digest of Technical Papers, 46-47, 2011
122011
A circuit-based approach for characterizing high frequency electromigration effects
C Zhou, X Wang, R Fung, SJ Wen, R Wong, CH Kim
IEEE Transactions on Device and Materials Reliability 17 (4), 763-772, 2017
102017
High frequency AC electromigration lifetime measurements from a 32nm test chip
C Zhou, X Wang, R Fung, SJ Wen, R Wong, CH Kim
2015 Symposium on VLSI Technology (VLSI Technology), T42-T43, 2015
62015
On-chip silicon odometers for circuit aging characterization
J Keane, X Wang, P Jain, CH Kim
Bias Temperature Instability for Devices and Circuits, 679-717, 2014
52014
A high resolution on-chip beat frequency detection system for measuring BTI, HCI, and TDDB
J Keane, X Wang, D Persaud, CH Kim
2010 IEEE International Conference on Integrated Circuit Design and …, 2010
32010
Silicon Odometers: Compact On-chip Sensors for Monitoring Circuit Reliability Effects
CHK X. Wang, J. Keane, T. Kim, P. Jain, Q. Tang
Micro Journal, 2014
2014
The system can't perform the operation now. Try again later.
Articles 1–20