Follow
Patrick Chiang
Patrick Chiang
Professor of Electrical and Computer Engineering, Fudan University
Verified email at fudan.edu.cn - Homepage
Title
Cited by
Cited by
Year
Low-power area-efficient high-speed I/O circuit techniques
MJE Lee, WJ Dally, P Chiang
IEEE Journal of Solid-State Circuits 35 (11), 1591-1599, 2000
3122000
A single-channel, 1.25-GS/s, 6-bit, 6.08-mW asynchronous successive-approximation ADC with improved feedback delay in 40-nm CMOS
T Jiang, W Liu, FY Zhong, C Zhong, K Hu, PY Chiang
IEEE Journal of Solid-State Circuits 47 (10), 2444-2453, 2012
1432012
0.56 V,–20 dBm RF-powered, multi-node wireless body area network system-on-a-chip with harvesting-efficiency tracking loop
L Xia, J Cheng, NE Glover, P Chiang
IEEE Journal of Solid-State Circuits 49 (6), 1345-1355, 2014
1362014
Silicon photonic transceiver circuits with microring resonator bias-based wavelength stabilization in 65 nm CMOS
C Li, R Bai, A Shafik, EZ Tabasy, B Wang, G Tang, C Ma, CH Chen, ...
IEEE journal of solid-state circuits 49 (6), 1419-1436, 2014
1312014
A 25 Gb/s, 4.4 V-swing, AC-coupled ring modulator-based WDM transmitter with wavelength stabilization in 65 nm CMOS
H Li, Z Xuan, A Titriku, C Li, K Yu, B Wang, A Shafik, N Qi, Y Liu, R Ding, ...
IEEE Journal of Solid-State Circuits 50 (12), 3145-3159, 2015
1202015
A 0.6 mW/Gb/s, 6.4–7.2 Gb/s serial link receiver using local injection-locked ring oscillators in 90 nm CMOS
K Hu, T Jiang, J Wang, F O'Mahony, PY Chiang
IEEE Journal of Solid-State Circuits 45 (4), 899-908, 2010
1092010
NoC with near-ideal express virtual channels using global-line communication
T Krishna, A Kumar, P Chiang, M Erez, LS Peh
2008 16th IEEE Symposium on High Performance Interconnects, 11-20, 2008
1002008
A micro-power two-step incremental analog-to-digital converter
CH Chen, Y Zhang, T He, PY Chiang, GC Temes
IEEE Journal of Solid-State Circuits 50 (8), 1796-1808, 2015
952015
A 90 mW 4 Gb/s equalized I/O circuit with input offset cancellation
MJE Lee, W Dally, P Chiang
2000 IEEE International Solid-State Circuits Conference. Digest of Technical …, 2000
832000
A ring-resonator-based silicon photonics transceiver with bias-based wavelength stabilization and adaptive-power-sensitivity receiver
C Li, R Bai, A Shafik, EZ Tabasy, G Tang, C Ma, CH Chen, Z Peng, ...
2013 IEEE International Solid-State Circuits Conference Digest of Technical …, 2013
782013
A near-threshold, 0.16 nJ/b OOK-transmitter with 0.18 nJ/b noise-cancelling super-regenerative receiver for the medical implant communications service
C Ma, C Hu, J Cheng, L Xia, PY Chiang
IEEE transactions on biomedical circuits and systems 7 (6), 841-850, 2013
762013
Indoor localization using pedestrian dead reckoning updated with RFID-based fiducials
S House, S Connell, I Milligan, D Austin, TL Hayes, P Chiang
2011 annual international conference of the IEEE engineering in medicine and …, 2011
712011
Swift: A swing-reduced interconnect for a token-based network-on-chip in 90nm cmos
T Krishna, J Postman, C Edmonds, LS Peh, P Chiang
2010 IEEE International Conference on Computer Design, 439-446, 2010
712010
A 25 Gb/s hybrid-integrated silicon photonic source-synchronous receiver with microring wavelength stabilization
K Yu, C Li, H Li, A Titriku, A Shafik, B Wang, Z Wang, R Bai, CH Chen, ...
IEEE Journal of Solid-State Circuits 51 (9), 2129-2141, 2016
662016
A piezoelectric energy-harvesting shoe system for podiatric sensing
R Meier, N Kelly, O Almog, P Chiang
2014 36th Annual international conference of the IEEE engineering in …, 2014
652014
Synctium: a near-threshold stream processor for energy-constrained parallel applications
E Krimer, R Pawlowski, M Erez, P Chiang
IEEE Computer Architecture Letters 9 (1), 21-24, 2010
652010
A dual-channel compass/GPS/GLONASS/Galileo reconfigurable GNSS receiver in 65 nm CMOS with on-chip I/Q calibration
N Qi, Y Xu, B Chi, X Yu, X Zhang, N Xu, P Chiang, W Rhee, Z Wang
IEEE Transactions on Circuits and Systems I: Regular Papers 59 (8), 1720-1732, 2012
642012
A 90 nm-CMOS, 500 Mbps, 3–5 GHz fully-integrated IR-UWB transceiver with multipath equalization using pulse injection-locking for receiver phase synchronization
C Hu, R Khanna, J Nejedlo, K Hu, H Liu, PY Chiang
IEEE Journal of Solid-State Circuits 46 (5), 1076-1088, 2011
642011
0.15-nJ/b 3–5-GHz IR-UWB system with spectrum tunable transmitter and merged-correlator noncoherent receiver
L Xia, K Shao, H Chen, Y Huang, Z Hong, PY Chiang
IEEE Transactions on Microwave Theory and Techniques 59 (4), 1147-1156, 2011
642011
A 0.47–0.66 pJ/bit, 4.8–8 Gb/s I/O transceiver in 65 nm CMOS
YH Song, R Bai, K Hu, HW Yang, PY Chiang, S Palermo
IEEE Journal of Solid-State Circuits 48 (5), 1276-1289, 2013
632013
The system can't perform the operation now. Try again later.
Articles 1–20