Follow
Massoud Pedram
Massoud Pedram
Charles Lee Powell Professor of Electrical Engineering & Computer Science, Univ of Southern
Verified email at usc.edu - Homepage
Title
Cited by
Cited by
Year
Low power design methodologies
JM Rabaey, M Pedram
Kluwer academic publishers, 1996
1300*1996
Power minimization in IC design: Principles and applications
M Pedram
ACM Transactions on Design Automation of Electronic Systems (TODAES) 1 (1), 3-56, 1996
8231996
Thermal modeling, analysis, and management in VLSI circuits: Principles and methods
M Pedram, S Nazarian
Proceedings of the IEEE 94 (8), 1487-1501, 2006
5642006
An analytical model for predicting the remaining battery capacity of lithium-ion batteries
P Rong, M Pedram
IEEE transactions on very large scale integration (VLSI) systems 14 (5), 441-451, 2006
5002006
Energy minimization using multiple supply voltages
JM Chang, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 5 (4), 436-443, 1997
4821997
Clock-gating and its application to low power design of sequential circuits
Q Wu, M Pedram, X Wu
IEEE Transactions on Circuits and Systems I: Fundamental Theory and …, 2000
4542000
Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times
K Choi, R Soma, M Pedram
Proceedings of the conference on Design, automation and test in Europe …, 2004
4442004
High-level power modeling, estimation, and optimization
E Macii, M Pedram, F Somenzi
Proceedings of the 34th annual Design Automation Conference, 504-511, 1997
4331997
Leakage current reduction in CMOS VLSI circuits by input vector control
A Abdollahi, F Fallah, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 12 (2), 140-154, 2004
3982004
Standby and active leakage current control and minimization in CMOS VLSI circuits
F Fallah, M Pedram
IEICE transactions on electronics 88, 509-519, 2005
3782005
Power aware design methodologies
M Pedram, JM Rabaey
Springer, 2002
3672002
Dynamic power management based on continuous-time Markov decision processes
Q Qiu, M Pedram
Proceedings of the 36th annual ACM/IEEE design automation conference, 555-561, 1999
3671999
Power-aware source routing protocol for mobile ad hoc networks
M Maleki, K Dantu, M Pedram
Proceedings of the 2002 international symposium on Low power electronics and …, 2002
3592002
Minimizing data center cooling and server power costs
E Pakbaznia, M Pedram
Proceedings of the 2009 ACM/IEEE international symposium on Low power …, 2009
3292009
Dynamic voltage and frequency scaling based on workload decomposition
K Choi, R Soma, M Pedram
Proceedings of the 2004 international symposium on Low power electronics and …, 2004
3212004
Power minimization in a backlit TFT-LCD display by concurrent brightness and contrast scaling
WC Cheng, M Pedram
IEEE Transactions on Consumer Electronics 50 (1), 25-32, 2004
3142004
Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects
AH Ajami, K Banerjee, M Pedram
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2005
2892005
JointDNN: An efficient training and inference engine for intelligent mobile cloud computing services
AE Eshratifar, MS Abrishami, M Pedram
IEEE Transactions on Mobile Computing 20 (2), 565-576, 2019
2842019
Multi-dimensional SLA-based resource allocation for multi-tier cloud computing systems
H Goudarzi, M Pedram
2011 IEEE 4th International Conference on Cloud Computing, 324-331, 2011
2832011
Task scheduling with dynamic voltage and frequency scaling for energy minimization in the mobile cloud computing environment
X Lin, Y Wang, Q Xie, M Pedram
IEEE Transactions on Services Computing 8 (2), 175-186, 2014
2562014
The system can't perform the operation now. Try again later.
Articles 1–20