Follow
Bo Zhai 翟博
Title
Cited by
Cited by
Year
Theoretical and practical limits of dynamic voltage scaling
B Zhai, D Blaauw, D Sylvester, K Flautner
Proceedings of the 41st annual Design Automation Conference, 868-873, 2004
5572004
Analysis and mitigation of variability in subthreshold design
B Zhai, S Hanson, D Blaauw, D Sylvester
Proceedings of the 2005 international symposium on Low power electronics and …, 2005
3332005
Ultralow-voltage, minimum-energy CMOS
S Hanson, B Zhai, K Bernstein, D Blaauw, A Bryant, L Chang, KK Das, ...
IBM journal of research and development 50 (4.5), 469-490, 2006
3062006
A 2.60 pJ/Inst subthreshold sensor processor for optimal energy efficiency
B Zhai, L Nazhandali, J Olson, A Reeves, M Minuth, R Helfand, S Pant, ...
2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers., 154-155, 2006
2622006
Energy-efficient subthreshold processor design
B Zhai, S Pant, L Nazhandali, S Hanson, J Olson, A Reeves, M Minuth, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17 (8 …, 2009
2422009
A variation-tolerant sub-200 mV 6-T subthreshold SRAM
B Zhai, S Hanson, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 43 (10), 2338-2348, 2008
2042008
Exploring variability and performance in a sub-200-mV processor
S Hanson, B Zhai, M Seok, B Cline, K Zhou, M Singhal, M Minuth, J Olson, ...
IEEE Journal of Solid-State Circuits 43 (4), 881-891, 2008
1952008
A sub-200mV 6T SRAM in 0.13 μm CMOS
B Zhai, D Blaauw, D Sylvester, S Hanson
2007 IEEE International Solid-State Circuits Conference. Digest of Technical …, 2007
1402007
The limit of dynamic voltage scaling and insomniac dynamic voltage scaling
B Zhai, D Blaauw, D Sylvester, K Flautner
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13 (11 …, 2005
1402005
Energy efficient near-threshold chip multi-processing
B Zhai, RG Dreslinski, D Blaauw, T Mudge, D Sylvester
Proceedings of the 2007 international symposium on Low power electronics and …, 2007
1382007
Energy optimization of subthreshold-voltage sensor network processors
L Nazhandali, B Zhai, A Olson, A Reeves, M Minuth, R Helfand, S Pant, ...
32nd International Symposium on Computer Architecture (ISCA'05), 197-207, 2005
1272005
Performance and variability optimization strategies in a sub-200mV, 3.5 pJ/inst, 11nW subthreshold processor
S Hanson, B Zhai, M Seok, B Cline, K Zhou, M Singhal, M Minuth, J Olson, ...
2007 IEEE Symposium on VLSI Circuits, 152-153, 2007
1002007
An energy efficient parallel architecture using near threshold operation
RG Dreslinski, B Zhai, T Mudge, D Blaauw, D Sylvester
16th International Conference on Parallel Architecture and Compilation …, 2007
612007
Energy optimality and variability in subthreshold design
S Hanson, B Zhai, D Blaauw, D Sylvester, A Bryant, X Wang
Proceedings of the 2006 international symposium on Low power electronics and …, 2006
592006
A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution
L Nazhandali, M Minuth, B Zhai, J Olson, T Austin, D Blaauw
Proceedings of the 2005 international conference on Compilers, architectures …, 2005
492005
A new statistical max operation for propagating skewness in statistical timing analysis
K Chopra, B Zhai, D Blaauw, D Sylvester
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
462006
Energy efficient design for subthreshold supply voltage operation
D Blaauw, B Zhai
2006 IEEE International Symposium on Circuits and Systems, 4 pp.-32, 2006
342006
Static Leakage Reduction through Simulteneous VTT/TOX and State Assignment
D Lee, B Zhai, D Blaauw, D Sylvester
Ultra Low-Power Electronics and Design, 56-83, 2004
262004
A Sub-200mV 6T SRAM in 0.13 um CMOS
Z Bo, D Blaauw, D Sylvester, S Hanson
Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical …, 2007
152007
Circuit for negative bias temperature instability compensation
B Zhai, W Favors, S Teja
US Patent 8,330,534, 2012
62012
The system can't perform the operation now. Try again later.
Articles 1–20